[영상] 반도체 EUV 'High NA' 기술 원리를 알아봅시다
[영상] 반도체 EUV 'High NA' 기술 원리를 알아봅시다
  • 장현민 PD
  • 승인 2021.08.31 17:59
  • 댓글 1
이 기사를 공유합니다

<자막원문>

인터뷰 진행: 한주엽 디일렉 대표

출연: 안진호 한양대학교 교수

-오늘 모시기 어려운 분 또 모셨습니다. 한양대학교 안진호 교수님 모시고 EUV와 관련된 내용 업데이트해 드리도록 하겠습니다. 안녕하십니까 교수님.

“안녕하십니까”

-제가 치아를 좀 다쳐서 마스크를 좀 끼고 말씀드리겠습니다.

“마스크를 쓰셔도 미남이십니다”

-아닙니다. 고맙습니다. EUV-IUCC. 저희가 예전 영상에서 한번 소개해 드린 적이 있는데 한번 다시 한번 소개를 해 주시죠.

“EUV-IUCC는 기업들이 자발적으로 연회비로 지원을 받고 있는 산악 협력센터입니다. 그런데 올해 들어서는 또 정부에서 대학의 그런 역할들을 맡기는 국가연구협의체라는 지원 사업이 생겼어요. 그래서 지금 과기부에서 큰 돈은 아니지만 산업체를 돕기 위해서 쓰라고 그런 예산도 지원을 받고 있습니다. 아마 저희가 첫 번째 인터뷰했을 때가 한 1년 반 정도 됐죠. 그때에 비하면 EUV-IUCC의 멤버 회사는 두 배 이상으로 성장되었습니다”

-거기 이제 멤버 회사들은 이쪽 산업에 다 종사하고 있는 회사들인가요?

“지금은 EUV와 관련된 제품이나 서비스를 하고 있는 회사도 있지만. 하고 있지는 않지만 EUV의 산업 관련 산업에 관심이 있어서 꼭 해보고 싶은 그런 회사들도 많이 있고요. 작년과 달리 올해 들어서는 사실 글로벌 기업들도 여기 참여를 하고 있습니다. 그 사람들이 여기 참여하게 된 이유는 자기네 그런 제품을 기업들과 국내에 판매할 그런 목적도 있지만, 국내에 좋은 기업들을 찾아서 파트너십을 하고자 하는 그런 목적도 있다고 생각이 됩니다”

-저희도 명예회원사처럼 들어가 있는데 감사합니다. 들어와서 보니까 여러 가지 관련된 산업계의 정보와 여러 가지 인사이트를 이제 거의 매일 전해 주시는 것 같은데. 관심 있으신 회사들은 회사 관계자분들은 한번 이쪽을 노크를 해보시면 여러 가지 정보 얻으실 수 있을 것 같고 오늘 저희가 모신 건 요즘에 ‘High-NA’ ‘NA’가 뭐의 약자입니까?

“NA는 ‘뉴메리컬 어퍼처’라고 해서 우리나라 말로 풀어쓰면 “개구수” 좀 이상하죠 이름이”

-개구수.

“쉽게 표현하자면 ‘렌즈의 크기’라고 보시면 됩니다”

-렌즈의 크기. High-NA를 어떤 유력한 반도체 회사가 “언제까지 이걸 도입을 해서 이걸로 양산을 하겠다” 이렇게 얘기해서 국내에서도 이제 보도가 많이 되고 했는데 일단 High-NA라는 게 ASML의 EUV 장비에 들어가는 어떤 렌즈의 크기가 커진다라는 의미. 커진다? 하여튼 NA가 커진다라는 의미인 거잖아요?

“네 맞습니다”

-그런데 지금 EUV 장비는 이미 이제 완벽하게 양산이 이루어지고 있고 생태계가 완전히 만들어졌다라고 봐야 되는 거죠?

“완벽하다는 표현은 좀 성급할 수가 있는 게. 양산에 필요한 그런 성능을 모두 내고 있지는 사실은 않다고 봐요. EUV가 언제 사용이 되는가에 대한 논란은 지난 20년 동안 계속 딜레이가 있어 왔고요. 더 이상 미룰 수 없다는 그런 상황에서 EUV가 도입이 됐습니다. 그 얘기는 뭐냐면 마스크나 포토레지스트나 펠리클이나 그리고 여러 가지 검사 장비나 이런 부대 기술들이 완벽하게 필요한 성능을 다 발휘하지 못하는 그런 상황에서 양산이 도입이 됐다고 보시면 되고요. 하지만 지금은 잘 아시다시피 TSMC 그리고 삼성전자에서는 양산에 이미 도입을 했고요. 아까 말씀주신 대로 이제 2023년도 부터는 장비가 나오게 되고. High-NA 장비가 나오게 되고 인텔에서는 바로 High-NA 장비를 이용해서 양산을 함으로써 자기네들 기술력을 한번 보여주겠다. 그렇게 칼을 갈고 있는 그런 상황이죠”

-지금까지 그냥 기존의 High-NA 말고 일반 ‘0.33 NA’이라고 하는 그 장비는 그래도 많이 나왔죠. 출하가 많이 됐죠?

“지금 양산 툴이라고 볼 수 있는 NXE 3400 시리즈는 2021년 1분기까지 한 92대가 납품이 됐습니다. 누적으로”

-많이 나갔네요.

“많이 나갔습니다. 아마 그중에 이제 반 정도는 TSMC가 가지고 있을 거로 저희가 추정하고 있는데요. 이러한 NXE 3400 시리즈 이후에 올해 2021년이죠. 삼성전자에서 또 오더를 해놓은 NXE 3600 시리즈. 이것도 마찬가지 ‘0.33 NA’이고 대신 생산성을 증가시키는 그런 거죠”

-생산성 증가했다는 게 파워가 더 높아졌다라는 건가요?

“파워도 높아지고. 옵틱스도 좀 개선돼서 시간당 만들어낼 수 있는, 찍어낼 수 있는 웨이퍼의 수 그리고 불량률 이런 것들을 개선했던 그런 장비가 나와 있고요. 아까 말씀해주셨던 High-NA 장비는 ‘EXE’라는 이름이 붙여졌고. EXE 5000이 2023년도에 첫 번째 High-NA 툴로. 고객사한테 줄 것이다 이렇게 됐는데. 아마 EXE 5000은 6대 정도만 많 것으로 알려져 있습니다. 가격은 3500억 원이고요”

-그전 건 얼마 정도 했습니까?

“지금 거는 한 1800억 원. 지금 디일렉에 그 영상을 봤더니 1500억원 이 정도 말씀을 해주시더라고요. 그런데 NXE 3600 정도가 1800억원인데 EXE 5000은 3500억원 그리고 2024년 4/4분기에는 EXE 5200이라는 모델이 나오게 되는데. 그건 더 양산성을 높인 거죠. 그건 놀라지 마세요. 5000억원에서 6000억원을 지금 예상하고 있습니다”

-그러니까 Low NA는 NXE 시리즈이고 High-NA는 EXE 시리즈로 불리는데. 우리가 통상 ASML에서 얘기한 Low NA는 0.33 NA High-NA는 0.55 NA.

“0.55 NA입니다”

-여기서 이제 제가 궁금한 점들을 여러 가지 집중적으로 좀 여쭤보겠습니다. ‘High-NA’ NA가 높아지면 뭐가 좋은 겁니까?

“레일리의 식 (Rayleigh's Equation)이라는 게 이제 리소그래피의 노광의 가장 기본이 되는 수식입니다. 아마 자료 화면을 보여주실 텐데. 해상도는 우리가 작게 그릴 수 있는 패턴의 한계는 파장이 짧아질수록 작아지고 아까 말씀드렸던 개구수(NA). NA값(렌즈의 개구수, 집광능력)이 커질수록 또 작은 패턴을 그릴 수 있습니다. 그러니까 렌즈를 크게 만들어서 빛을 많이 모을 수 있게 되면 더 작은 패턴을 할 수 있다는 그런 장점이 있는 거죠”

-이게 파장은 우리가 지금 EUV 파장은 고정돼 있지 않습니까?

“그렇죠. 193나노미터(nm) 파장에서 13.5나노미터(nm) 파장으로 큰 변혁을 일단 가져왔죠.

-근데 그 파장을 쓰면서 더 해상력을 좋게 만들려면 NA를 높여야 된다.

“그렇습니다 이제까지도, 그전에 193나노미터(nm), 248나노미터(nm)를 할 때도 마찬가지였습니다. 파장을 일단 줄이고 NA값은 또 또 점점 늘리고. 그러다가 영 안 되겠으면 또 파장을 또 줄이고. NA값을 늘리고 이런 식으로 접근해 왔죠”

-NA값을 높이는 게 어려우니까 이렇게 가격 차이가 나겠죠?

“그렇습니다. 아마 오늘 제가 말씀드릴 것 중에는 포커스가 아마 그렇게 될 텐데. 우리가 일반적으로 얘기하는 렌즈라고 하는 것은 우리가 돋보기 쓸 때 사용하는 렌즈도 렌즈라고 부르지만 광학을 사람들은 미러도 렌즈라는 그런 개념으로 설명을 합니다. 일반적으로 정밀한 광학계들은 그런 투과형 렌즈가 아니라 반사형 미러를 많이 써요. 예를 들자면 천체 망원경. 얘네들은 렌즈를 써서 하지 않고 반사경을 씁니다. 반사경을 쓰면 더 정밀한 광학계를 우리가 구현을 할 수가 있거든요. 그래서 지금 EUV에서 High-NA 기술이라고 하는 것은 반사경을 크게 만든다라는 겁니다”

-일반적으로?

“일반적으로. 그런데 문제는 렌즈. DUV에서 193나노미터(nm), 248나노미터(nm)처럼 DUV에서 렌즈라는 것은 광축의 그 정렬을 해서 쭉 쌓아놓거든요. 그러니까 렌즈를 키우는 데 큰 한계는 없어요. 근데 크게 만드는 게 어려운 이유는 뭐냐면 크면서도 에러가 나지 않게끔 만드는 게 어렵기 때문에 NA값을 무한정 키울 수는 없다라고 했던 거죠. 그런데 EUV의 경우는 좀 다릅니다. 반사 광학계이기 때문에 미러를 복잡하게 이쪽 저쪽으로 놔야 되거든요. 그러니까 미러가 커지면 입사된 빛과 반사된 빛을 매니지하기가 힘듭니다. 입사광과 반사광의 간섭이 일어나서 우리가 원하는 그런 퍼포먼스를 나타내기 힘들죠”

-우리가 EUV 같은 경우는 흡수가 여기저기서 많이 되어서 이제 반사 구조로. 그전에는 위에서 아래로 내려 꽂는 구조였는데 EUV의 특성 자체가 그렇기 때문에 반사를 했는데 그냥 무작정 반사 그러니까 NA값을 늘리려면 늘리기만 하면 되는 겁니까?

“미러의 크기를 늘리면 되는데. 아까 말씀드린 대로 어느 이상 늘리게 되면 입사광과 반사광에 간섭이 생겨서 이제 미러의 크기를 키우는 데는 어느 이상은 못 늘리게 되는 겁니다. 그래서 거기서 나온 기술이 바로 아나모픽(Anamorphic)이라는 그런 기술이죠”

-아니 근데 무슨 입사각을 좀 조절하는 방법도 있지 않습니까?

“역시 한 대표님이 원래 이 전공이 아니신데도 불구하고 많은 그런 기술을 보시다 보니까 인투이션이 생긴 것 같아요”

-아닙니다. 주신 자료. 제가 공부를 열심히 했습니다.

“NA값을 늘리는 여러 가지 방법 중에 하나가 미러는 키워야 됩니다. 그런데 미러를 키우다 보면 옆에 있는 미러가 여기 있는 미러, 마스크가 있고 입사시키는 데 필요한 미러가 있고 또 마스크를 막고 나온 밀어 있는데 얘네들이 커지면 중간에 되잖아요. 그러면 미러를 키우면서 키우는 거를 수용하는 방법은 얘를 넓히는 방법이죠. 넓히게 되면 지금은 입사 각도의 중심축으로 보면 마스크에 입사된 게 6도인데 얘를 9도로 한번 늘려보자는 스터디를 했었어요”

-좀 더 비스듬하게 들어오자.

“그렇죠. 그렇게 되면 이제 마스크에 큰 부담이 생기는 거죠. EUV 마스크는 반사용이고. 미러가 있고 위에 패턴들이 있는데 입사 각도가 있으면 이 패턴에 있어서 그림자가 생기거든요. 그런데 단순한 그림자가 아니고 여러 가지 포커스의 문제, 패턴 사이즈의 베리에이션 문제, 이런 것들을 다 합쳐서 우리가 ‘Mask 3D Effects’라고 하는데. 그런 것들을 해결하기 힘들어서 구도를 늘리자 했었던 아이디어는 이제 중간에 채택이 안 되게 됐습니다”

-축소 배율을 증가시키는 방법은?

“축소 배율을 증가시키는 방법도 좋은 아이디어고 ASML이 검토를 했었던 거죠”

-기존에 6도로 들어오는 거에 대해서?

“6도로 하되 축소 배열을 이제 8분의 1로 하자. 이렇게 되면 뭐냐 하면 쓰루풋(throughput, 처리량)이 떨어지는 거죠. 한 번 찍을 거를 8분의 1로 하게 되면 이제 쓰루풋이 4분의 1로 떨어지는 거죠. 그래서 거기에 아류가 뭐냐면. 그럼 축소 비율을 8대 1로 하되 지금은 4대 1인데, 8대 1로 하되 마스크의 크기를 두배 두배 키우면 마스크의 크기. 4분의 1로 줄어드는 쓰루풋을 카바를 하겠다 했는데. 그게 안 되는 게 아니 마스크 만드는 인더스트리는 다 6인치 마스크로 장비가 되어 있어요”

-그거대로라면 12인치로 키워야 된다.

“12인치로 키워야 된다 하는데 그거는 완전히 하나의 산업을 완전히 뒤흔드는 거라 거긴 반발이 심합니다. 그래서 그것도 드롭이 됐죠”

-그래서 이제 고안된 방법이 ‘아나모픽’

“맞습니다”

-아나모픽에 대해서 설명해주시면 이건 어떤 방법입니까?

“아나모픽은 우리가 이미 알고 있는, 우리 일상생활에 쓰고 있는 그런 기술이었어요 1950년대에 들어서 미국에서. TV가 막 보급이 되면서 사람들이 극장에 영화를 보러 오지 않은 거예요. 그래서 어떻게 하면 사람들을 극장으로 끌어모을까 하다가 20세기 폭스사에서 몰입감을 줘서 우리가 눈을 뜨고 있는 모든 이 시야 각도에 화면을 다 꽉 채우자 하는 그런 기술이 와이드 스크린 기술이죠. 시네마스코프라고 자기네들은 이름을 붙였는데요. 이 시네마스코프를 개발을 해서 TV에 뺏겼던 시청자를 빼앗아 오겠다 했던 전략이 돼서 사실 할리우드 비즈니스에 큰 도움을 줬죠. 제가 연식이 나오는데 우리 한 대표님은 옛날 극장에 어떤 추억이 있으신지 모르지만 옛날엔 4:3 였던 걸로 기억합니다”

-그 화면 비율이요

“그랬는데 우리 TV도 와이드 스크린이 됐죠. 근데 그거보다 더 와이드 스크린이 된 게 지금 극장에 있는 게 이제 2.33:1. 가로로 긴 거. 그런데 이렇게 하려면 아까 말씀드린 대로 그러니까 우리 리소그래피에서 마스크 인프라를 이제 바꿔야 된다 하면 반발이 있을 거 아닙니까. 영사기도 그대로 쓰고. 카메라도 그대로 쓰는데 스크린만 크게 해서 우리가 비출 수 있다고 하면 최소의 투자로 최대의 효과를 얻을 수가 있겠죠. 거기 썼던 게 바로 아나모픽 기술입니다. 아나모픽 기술은 가로와 세로 축소 비율을 달리하는 그런 기술이에요. 예를 들자면 실제 이 이미지가 와이드 스크린 시네마스코프 2.35대1을 우리가 담고 싶어요. 그런데 필름은 예전에 썼던 4:3의 필름이에요. 그러면 카메라 앞에 렌즈만 갈아끼는 겁니다. 가로축을 스퀴즈하는 렌즈를 끼면 2.33:1의 이미지를 1.33(4:3)의 필름 안에 넣을 수 있어요. 대신에 그 필름을 영사할 때 영사기의 렌즈를 카메라의 렌즈와 반대 방향으로 x축 방향으로 확대하는 그런 렌즈만 갈아끼면 되는 거죠. 그러면 2.33:1로 다시 복원이 되는 이런 기술이 아나모픽 기술입니다”

-죄송합니다. 제가 좀 무식해서. 아니 찍을 때 우리가 4:3이면 위에를 좀 자르고 찍는다는 얘기예요?

“아닙니다. 2.33:1이 이렇게 와이드 하잖아요. 얘를 찍는데. 이 이미지를 필름에 가져다 놓을 때 x축만 축소해서 필름에 넣는 거죠”

-그러니까 가로 사이즈를 축소해서 넣고.

“그리고 영사 할 때. 프로젝션 할 때는 얘를 다시 늘리고”

-다시 거꾸로 해서 늘리고.

“그러니까 필름을 보시면 원래 이미지에 비해서 이제 홀쭉하게 이미지가 저장이 되는 거죠. 이거를 그대로 갖다가 리소그래피에 쓰는 게 바로 EUV의 High-NA에 사용하는 아나모픽이라는 기술이다. 아나모픽은 기본적으로 x축과 y축에 축소 배열을 달리하는 그런 기술 아나모픽 기술이라고 합니다”

-그게 지금 ASML이 개발하고 내놓는다는 High-NA EXE 시리즈에 그 기술이 이제 적용이 되었다.

“네 맞습니다”

-근데 참 그런 기술을 여기에 또 응용해서 왜냐하면 그냥 렌즈 수를 늘릴 수가 없고 아까 빛이 들어가는 각도를 더 이렇게 눕힐 수도 없고. 여러 가지 고민하다가 이걸 적용한 거 아닙니까?

“맞습니다”

-그냥 그렇게 적용하면 되는 거예요. 다른 문제점은 없습니까?

“사실은 이런 장비를 만드는 게 사실 어렵죠. 장비를 만드는 것 자체가 지금 우리가 단순하게 지금 x축을 이렇게 축소했다가 다시 이제 원복시킨다고 했는데 그렇게 되면서 이미지의 저하가 있으면 안 되거든요”

-그렇죠.

“그런 것들을 해결하는 게 그걸 담당하는 옵틱스를 담당하는 자이스에서 해결하는 그런 여러 가지 옵틱스. 미러의 설계 이런 것들이 아주 이제 복잡하게 되죠. 아나모픽의 문제점이라고 하면”

-쓰루풋 이런 거는 괜찮아요?

“아닙니다. 왜냐면 노광에서는 아까 말씀드렸다시피 시네마스코프랑은 사실은 반대입니다. 시네마스코프는 와이드 한 걸 그대로 가져다 쓰기 위해서 필름을 축소해서 중간에 축소했던 거죠. 우리 지금 리소그래피에서는 실제 이미지는 6인치 마스크의 그런 형태예요. 네모난 형태인데 마스크 이미지는 횡축 방향으로 늘려놓습니다. 늘려놨다가 다시 웨이퍼에 전사할 때는 또 축소하는 방향. 그러니까 아까 시네마 스코프에서는 이미지를 스퀴즈했다가 디스퀴즈 하는데 리소그래피에서는 이미지를 디스퀴즈했다가 스퀴즈해서 이제 웨이퍼 상에 전달하는 그런 문제입니다. 그래서 실제로 웨이퍼 상에 찍어보면 예를 들어 한 필드의 다이가 4개 들어 있다 라고 하면 원래 0.33 NA. x축 y축이 4대1에 축소 비율을 가지고 있는 것은 웨이퍼 상에서도 사이즈는 4분의 1. 4분의 1이 됐죠. 그렇지만 4개의 다이가 찍혀요. 그런데 아나모픽 기술에서는 마스크의 패턴이 한쪽 방향으로 늘려져 있잖아요. 2개의 다이 밖에 안 들어갑니다. 그래서 웨이퍼 상의 프린트하게 되면 다시 원래 홀쭉한 원래 모양대로. x축과 y축 비율이 균형이 잡힌 2개의 다이만 찍히게 되는 거죠. 그래서 쓰루풋은 반으로 줄어들 게 됩니다”

-그러니까 옛날에 한 번에 4개 찍을 수 있었는데 지금은 2개.

“맞습니다”

-그럼 어떻게 해야 합니까?

“그래서 이제 ASML이 엔지니어링적으로 이제 해결한 거죠. 한 번 스캔에서 2개밖에 못 찍으면 빨리 찍자. 그러니까 마스크와 웨이퍼의 스캔 스피드를 빠르게 합니다. 근데 지금도 이미 마스크와 웨이퍼 스테이지가 날아다니는 속도는 눈으로 아무리 부릅 뜨고 봐도 보이지 않을 정도로”

-저도 그 영상을 봤는데요. 엄청 빠르게 움직이던데요.

“저도 봤습니다. 이게 디일렉에서 해주셨던 걸 봤는데. 그보다 더 빠르게 4배, 2배 이렇게 지금 속도를 늘리고 있습니다. 마스크의 스테이지 4배, 웨이퍼 스테이지 2배로 날아다니도록 하고 있습니다”

-High-NA 기술을 이렇게 도입하고 도입하려다가 여러 가지 문제점이 있어서 아나모픽 기술을 적용을 했고 그래서 이제 장비가 이제 나오고 소자 업체들도 그걸로 제대로 해보겠다라고 얘기를 해놓은 상태인데. 다른 소재들의 어떤 성능이나 이런 요구사항들도 더 올라갑니까?

“기본적으로 High-NA라는 것은 더 좋은 노광 특성을 줄 수 있기 때문에 우리가 비싸도 도입하는 겁니다. 그런데 이 문제는 뭐냐면 더 좋아지는데 고객들의 요구는 더 높아진다는 거죠. 그래서 High-NA가 문제점이 있어서 다른 소재의 무슨 개선을 요구하는 게 아니라. 고객사들의 요구 조건이 점점 더 까다로워지기 때문에 여러 가지 소재에 대한 요구도 지금 시간에 맞춰서 더 좋은 성능을 요구하고 있죠. 예를 들자면 마스크는 지금은 이제 반사하고 반사하지 않는 원제로 스타일의 이런 콘트라스트를 갖습니다”

-반사하고 반사하지 않고.

“반사하고 반사하지 않고 하는 걸로 이제 이미지를 얻게 되죠. 그런데 위상변위 마스크 (phase shift mask, PSM)라고 해서 마스크에서 해상 높이는 그런 기술의 도입도 요구가 되고 있고요”

-위상변위 마스크. 어려운 말인데요. 위상을 변이한다.

“나중에 이건 따로 얘기를 해보시죠. 왜냐면 지난번 제가 영상에 출연했을 때 NA는 다음 번에 하도록 제가 약속을 했더라고요. 그래서 오늘 제가 약속을 지켰습니다. 포토레지스트 성능도 사실은 개선이 요구가 되고요”

-포토레지스트.

“사실은 지금 나와 있는 레지스트의 감도는 40~50mJ 정도거든요. 이 감도는 낮을수록 빛이 조금만 들어와도 노광이 된다는 그런 의미에요”

-그럼 낮을수록 좋은 거에요?

“좋습니다. 그런데 요즘 다 한 시간당 160장을 300mm 찍을 수 있다고 하는 것은 다 대부분 기준이 뭐냐 하면 그 레지스트 감도가 30mj를 계산한 거거든요. 근데 실제 상황은 더 노광을 더 오랫동안 해야 되는 게 현실입니다. 그래서 레지스트의 성능이 요구되는 게 감도뿐만이 아니고 또 사실은 지금 뭐랄까 레졸루션이나 감도가 사실 문제가 아니고 패턴의 디펙(결함)가 사실은 큰 문제입니다.

-패턴의 디펙.

“지난번에 제가 말씀을 못 드렸었는데 확률론적인 결함이라고 해서 ‘Stochastic Failure’라고 해서 홀 패턴을 찍으면 다 똑같은 사이즈의 홀이 나와야 되는데 어떤 홀은 패턴이 안돼요. 라인도 서로 달라붙기도 하고 끊어지기도 하고”

-그게 확률적으로 일어난다는 얘기인가요?

“그게 이제 EUV에서 조금 더 이제 크게 나타나고 있는 그런 현상인데. 포토레지스트에서 저번에 디일렉에서 EUV를 다룬 다른 영상들을 봤더니 LER(끝 부분 거칠기)을 말씀해 주셔서 라인 에지 러프니스(Line Edge Roughness). 그게 바로 이제 포토레지스트 입사되는 포톤의 분포가 랜덤하기 때문이거든요. 그게 이제 커져서 그런 ‘Stochastic Failure’라는 게 일어납니다. 그래서 포토레지스트에서 그런 ‘Stochastic Failure’를 최소화할 수 있는 그런 콘퍼션을 가져가는 이런 것들도 한 가지고요”

-PR(포토레지스트) 회사들이 노력을 해야되겠네요.

“그리고 또 당연히 High-NA는 나중에 쓸 장비이기 때문에 그때 되면 광원의 파워도 더 증가할 겁니다. 아마 한 600W 이상 현재는 아마 지금 아까 얘기했던 양산 장비들 한 250W 정도의 오퍼레이션을 하고 있고. 지금 ASML의 개발 단계에서는 약 400W 이상을 달성했다고 알려져 있어요. 그런데 High-NA가 쓸 때쯤 되면 한 600W가 될 겁니다. 그러면 빨리 여러 장의 웨이퍼를 찍어낼 수 있죠. 근데 그때 고생할 놈이 있습니다.

-어디입니까?

“펠리클입니다. 펠리클에 막 에너지가 쏟아지니까 훨씬 더 뜨거워지겠죠. 뜨거워지는 데 살아남아야 되겠죠”

-빛이 더 세지니까?

“그렇죠”

-처리량은 더 좋아지는데 펠리클은 내구성이 더 높아야 된다라는 얘기인가요?

“그렇습니다”

-열에 대한 내구성.

“그런데 지금 쓰고 있는 지금 개발되고 있는 그런 물질들이 그때까지 견딜 수 있을지는 아직 미지수라서 펠리클의 새로운 소재”

-아니 근데 지금 펠리클로 얘기도 말씀하셨으니까. 그냥 지금은 안 쓰고 있죠?

“아닙니다”

-쓰고 있어요?

“우리나라는 못 쓰고 있고. TSMC는 쓰고 있습니다.

-왜 그렇습니까?

“TSMC는 여러 가지 설이 있는데 제가 알아본 바로는 “자기네들이 만들어 쓴다” 라고 알려져 있어요. 왜냐하면 그 이유가 있어요 ASML이 펠리클을 개발한 게 자기네들이 한 게 아니고 캐나다에 텔라다임이라는 회사가 있죠. 텔레다인이라는 회사는 검색해 보시면 아시겠지만 MEMS를 하는 회사에요 MEMS는 그런 멤브레인을 만들고 여러 가지 기계적인 시스템을 만드는 곳이죠. 아까 말씀드린 TSMC는 사실은 세계 최고의 8인치 MEMS 팹을 가지고 있는 회사입니다. 그런 입장에서 보자면 ASML이 개발을 맡긴 텔레다인과 비슷한 기술력을 사실 TSMC가 가지고 있는 거죠. 그래서 지금 TSMC에서는 자체 개발에 쓰고 있다고 지금 알려져 있고요. 대신에 TSMC가 쓰고 있는 펠리클이 그리고 투과도가 아주 높지는 않은 걸로 알려져 있어요”

-그래도 90%대는?

“86% 정도? 그런데 쓸 수 있는 이유는 뭐냐 하면 장비가 많이 있기 때문이에요 그러니까 사실은 펠리클을 쓰게 되면 펠리클을 흡수하는 것만큼은 스루풋은, 생산량은 떨어져요. 그렇지만 불량률은 확실하게 잡을 수 있죠. 그런데 우리나라의 경우는 장비가 얼마 없기 때문에 펠리클 넣고 이렇게 하기가 사실 어렵기 때문이죠”

-펠리클 설계는 ASML이 하고 핵심 소재 아까 멤브레인 관련된 거는 다 캐나다에 텔라다임이 만들고 실제 생산은 일본의 미쓰이.

“그게 이제 되게 예민한 문제인데요. 미쓰이는 텔레다인에서 만든 그 핵심 소재를 가져다가 프레임에 붙여서 검사에다 아마 파는 이런 거의 세일즈에 가깝다고 보시면 됩니다”

-그러면 거의 그냥 어셈블리만 하는 거네요?

“그렇지만 어셈블리만 하는 거지만 사업권은 가지고 있는 거죠. 그러니까 갑의 역할을 할 가능성이 사실은 되게 많습니다. 왜냐하면 DUV 펠리클도 사실은 국산 제품은 아마 50만원 정도로 하는 걸로 알고 있는데”

-DUV 펠리클 기존에 쓰던 거. 장당 50만원.

“장당 50만 원. 그런데 제가 그전에 듣기로는 그 미쓰이는 절대로 디스카운트 안 해준다고 그래서 꼭 1000달러(100만원)은 꼭 받아내고 말겠다. 여태까지 행태를 봐서는 EUV 펠리클로 또 이렇게 애를 먹일 가능성이 많아 보이죠”

-DUV일 때 100만 원을 우리가 받아야 되겠다라고 얘기를 했는데 EUV는?

“지금 여러분 잘 알고 계시는 ASML이 텔레다인과 처음 만들었던 폴리실리콘 베이스의 그럼 펠리클은 투과도가 83%인데. 1만8천달러 정도 하고요. 2000만원. 그런데 지금 새로 개발된 신물질 기반에 90%짜리 펠리클이 이제 거의 상용화 되어서 이제 미쓰이랑 이렇게 해서 팔려고 하는 것은 3만5천달러 정도입니다”

-그게 6인치짜리?

“네. 펠리클 1장이 그리고 4천만 원이죠. 그런데 이제 라이프타임은 1만 시간이라고 하는데. 워런티는 없는 걸로 제가 알고 있습니다. 중간에 깨져도 당신들이 알아서 해라”

-“버려라 새로 사서 쓰셔라” 아니 근데 일본 미쓰이는 베타적인 사업권을 갖고 있는 겁니까?

“저는 그 계약 조건을 제가 확인한 바는 없는데 살 수 있는 그런 회사들이 많지가 않기 때문에 배타적인 사업권이 아니더라도 다른 회사가 또 그걸 사업권을 따서 이렇게 하기는 어려운 상황이 아닐까라고 저는 개인적으로 그렇게 생각하고 있습니다”

-이게 83%인 MK 2.2가 18000달러였고 이번에 90%가 된 게 MK 4인데 이게 35000달러 정도라는 거죠. MK 4.0 에서 더 올라갈 투과도나 이런 여러 가지 라이프타임이 있습니까?

“당연히 커스터머는 욕심은 끝이 없죠. 투명한 걸 원하겠죠. 투명하면 펠리클을 써도 전혀 생산성에 문제가 없습니다. 계속적으로 투명한 것을 투명도를 높일 걸로 요구는 했는데. 솔직히 말씀드리면 그거는 전략적인 선택이라고 봐요. 86%라서 못 쓰고 83%를 못 쓰고 하는 건 없습니다. 왜냐하면 더 장비를 많이 삽니다 근데 디펙(결함)에 대한 리스크를 안고 갈 것인가 아니면 안전하지만 돌아갈 것인가의 그런 선택이고. 아까 말씀주신 대로 텔레다인, 미쓰이해서 90%짜리가 35000달러인데. 공급도 원활치 않을 것이고 잘 아시다시피 미쓰이는 우리나라 S사는 미쓰이 펠리클을 안 쓰고 있습니다. 거기는 이제 비하인드 스토리가 있어서 미쓰이와 S사는 거래 관계가 없어요. 펠리클 관련해서 미쓰이가 펠리클에 아주 세계적인 회사임에도 불구하고. 그런 관계를 보자면 우리나라의 자체적인 펠리클의 벤더를 꼭 키워야 되는 그런 상황이라고 저는 그렇게 보는 거죠”

-저희가 예전에 취재하고 보도하기로는 2023년부터는 삼성도 펠리클 도입을 적극적으로 하겠다라는 보도를 드린 바가 있는데 그러면 MK 4도?

“MK 4도 가능성이 있고요. 혹시 그때까지 국산 개발이 되면 사실은 삼성은 우리나라 국내 S사 F사에 다 투자를 하고”

-지분 투자 했잖아요.

“지분 투자도 하고 그런 기술 협력도 하고 있는 거로 저는 그렇게 예측을 하고 있습니다. 그래서 국내 기업이 거기에 필적하는 1~2%가 떨어지더라도 국내에서 안정적으로 공급받을 수 있는 그런 기업이 있다면 당연히 같이 데리고 가지 않을까 라고 생각이 되죠”

-교수님이 직접 언급하시기 어려워서 제가 말씀드리면 에스앤에스텍과 에프에스티를 말씀하시는 것 같은데. 그러면 미쓰이 거를 꼭 산다라는?

“그런 어나운스를 한 건 저는 아니라고 봐요”

-그거는 없고 2023년도에 뭐라도 개발이 되면, 근데 미쓰이 거를 누군가가 사서 유통할 수도 있는 거 아닙니까?

“그럴 수도 있죠. 그럴 수도 있는데 충분히 그렇게 사서 할 수 있다고 볼 수도 있죠”

-아니 요즘에 그래핀으로 펠리클을 만드는 스타트업 얘기도 나오고 하는데 보시기에는 어떻습니까?

“사실은 저도 그래핀이 사실 소재의 관점에서는 되게 흥미로운 그런 소재라서 새로운 신물질이라서 저희도 많이 관련된 기업 연구소 대학과 NDA도 맺고. 지금 같이 실험을 하고 개발을 하고 있습니다. 그런데 지금 상황에서는 그래핀으로 펠리클을 만들 수 있다 어느 정도까지 왔다라고 말씀드릴 정도의 수준은 저는 아니라고 봐요. 그래서 저도 기사를 보면서 이 정도 도까지 이렇게 개발이 된 건 아닌데 도대체 왜 이런 얘기가 떠돌까에 대해서는 저도 좀 의구심이 들고요”

-투자 받으려고 그런 움직인 거라고. 저는 그냥 추정이 좀 되고 죄송합니다. 아니면. 그러니까 보통은 스타트업들이 이렇게 좀 과하게 얘기하는 경우들이 꽤 있거든요. 근데 어쨌든 보시기에는 그렇다라는 말씀이신 거죠?

“그거는 저희는 저는 롱텀으로 2~3년 이후를 보고서 저도 계속 연구를 하고 있고요. 그렇지만 기존에 CNT가 새로운 물질로 이렇게 발견이 되고 나서 상용화되는데 상당기간 오래 걸렸거든요. 그래핀도 사실은 처음에 발견이 되고 나서 진짜 우리나라에서 그래핀 연구했었던 교수님만 다 알아도 엄청 많았을 거예요. 그런데 상업적으로 어플리케이션을 찾기가 되게 어려운 상황입니다. 그래서 그래핀 하시는 분들이 되게 EUV 펠리클에 과열의 그런 양상을 보이고 있는 거는 뭐냐면 그래핀이 펠리클로 쓸 수 있으면 더 이상 부가가치를 가질 수 있는 어플리케이션은 저는 없다고 봐요. 그래핀 몇 층 이렇게 해가지고 4천만 원을 받을 수 있다 그러면 뭐 대단한 거죠. 근데 그렇게 녹록한 그런 기술 분야는 아니다 라는 것을 말씀드리고 싶습니다”

-다시 이제 다시 High-NA로 이제 돌아와서 지금 Low-NA로는 멀티 패터닝 하고 있습니까?

“좋은 질문이신데요 이미 TSMC는 Low-NA 장비를 써서 지금 멀티플 패터닝을 지금 하고 있습니다. 그게 이제 해상도가 안 나와서 그런 게 아니고 아까 말씀드렸던 라인 에지 러프니스(Line Edge Roughness)라는 그런 이슈 때문에 지금 TSMC는 32나노미터 피치의 M1 레이어에서 그 SADP(Self-Aligned double patterning)이라는 기술로 지금 더블 패터닝을 하고 하고 있습니다. 그래서 이거는 아마 저도 이제 정확히 모르겠는데. 제가 어제 또 유튜브 좀 찾아보고 다 해봤더니 삼성의 불량률이 어쩌고 저쩌고 이렇게 나오는데 그게 정확히는 이유가 뭔지는 모르겠어요. 그런데 이제 TSMC의 그런 상황을 보게 되면 그런 펠리클을 쓰는 것도 한 가지 불량률에 영향을 미칠 수 있지만. 아까 말씀드렸던 라인 에지 러프니스(Line Edge Roughness) 이런 이슈도 사실은 클 거라고 봅니다. 그래서 사실 30나노 피치면 하프 피치면으로 따지면 16나노잖아요. 사실은 16나노면 Low-NA로도 사실은 한 번에 찍을 수 있다라고 이렇게 자신 있게 얘기하는 그런 패턴이 사이즈거든요. 그럼에도 불구하고 TSMC는 두 번을 찍고 있고 경쟁 회사보다 수율이 월등히 높다라고 지금 알려져 있는 것으로 봐서는 지금 그런 프로덕트의 퀄리티를 이용해서 위에서 이런 더블 패턴이 이미 지금 적용이 되고 있다라고 말씀드릴 수가 있겠습니다”

-지금 High-NA라고 하는 것들이 근래에 들어서 굉장히 많이 보도가 되고 얘기가 나오고 있는 게 얼마 전에 이제 인텔에서 “High-NA를 어쩌고 저쩌고 하겠다”라고 발표를 해서 이제 그런 걸로 저는 이제 생각을 하는데. 인텔이 어떻습니까 보시기에는 인텔이 기존에는 High-NA에 대해서 약간 좀 뜨뜨미지근하지 않았습니까?

“사실은 인텔이 이렇게 중간에 한 10나노, 7나노에서 이제 삐끄덕 했던 거는. 거기 그때 CEO의 스캔들로부터 저는 시작이 됐다고 봐요. 그러니까 이제 사실은 그게 리더십의 유효성인데. 우리나라에서도 그런 일이 다른 이유로 리더십을 잠깐 놓쳤다가 이제 다시 이제 복귀를 한 사례가 있는 S사도 있었는데. 인텔의 경우는 아니죠. 본인들의 의지에 의해서 이제 그런 일이 벌어졌었는데. 그것 때문에 시작인지 모르지만. 10나노와 7나노에서 실기를 했다 이렇게 알려져 있는데. 제가 잘 알고 있는 지인하고 연락을 좀 해보면 인텔의 경우는 EUV에 관련된한 기술의 개발은 계속 지금 되고 있고. 지금 당장이라도 전략적으로 이걸 양산에 쓰겠다 하면 얼마든지 대응할 수 있는 그런 체비는 돼 있다. 그래서 지금 인텔이 여러 군데 지금 팹이 있죠. 그중에서 이제 아리조나 쉰들러에. 지금 파운더리 팹을 지금 5나노와 3나노짜리 지금 짓고 있는 걸로 알고 있습니다. 거기에 아마 이 High-NA 장비가 들어가고 거기서부터 EUV의 본격적인 양산 적용을 투입을 하겠다. 그런 투지로 지금 그렇게 해석이 되고 있습니다”

-CEO가 어쨌든 나와서 High-NA 이렇게 얘기하고 했으니까 그때쯤 도입할 때쯤 되면 굉장히 적극적으로 도입할 가능성이 높겠네요.

“그렇죠. 지금 TSMC와 삼성이 많이 지금 최근에 양산에 쓰고 있긴 하지만 “지금 누가 제일 기술을 잘 알고 있을까?”라고 하면 저는 인텔이라고 봐요 솔직히 말씀드리면. 그 사람들이 새로 오랫동안 EUV에 투자를 해왔고 사실은 그 ASML에도 그래서 지분 투자를 15%까지 했었죠

-제일 많이 했었죠. 그때 삼성과 TSMC도 했지만.

“지금은 3%밖에 안 남아 있다라고 알려져 있는데”

-잘 팔았지 않았습니까? 잘 팔지 않았어요?

“아닙니다”

-아니에요?

“50불에 사서 200불에 팔았으니까 4배 장사인데. 지금 얼마인지 아시죠? 지금 800불이니까”

-ASML 주가가?

“ASML 주가가 그리고 50불에 가지고 있다가 지금까지 가지고 있었으면 16배 장사가 된 거죠. 그래서 그당시에도 투자 잘했다라고 생각이 됐는데 지금 생각해 보면 왜 또 그때 팔았을까 지금 가지고 있었으면”

-저는 그때 삼성과 TSMC 그리고 인텔이 ASML의 지분 투자. 그건 아마 제가 생각할 때는 ASML이 이제 자금력 면에서 EUV 장비를 만들려고 하니까 기존에 큰 고객사님들이 지분 투자를 좀 해 주세요. 먼저 요청을 한 것으로. 저는 이제 파악을 했는데 인텔은 이제 딱 해서 15% 하고 TSMC가 5%였나요?

“그 정도 해서. 제일 먼저 빠져나갔죠”

-그리고 삼성이 제일 장고 때리다가 제일 낮은 지분을 했는데 거기도 이제 일부 팔고 그랬던 것 같은데. ASML 주가가 그렇군요 그래서 아니 ASML도 대단하지만 저는 이 장비 안에 들어가는 핵심 뭔가 부품이나 모듈 혹은 미러라든지 이런 거 광원 소스 이런 거 공급하는 회사들은 어디예요?

“광학계는 여러분 잘 아시는 칼 자이스(Carl Zeiss). 제 안경이 제가 노안이 와서 지금 바이포칼(이중초점)인데요. 제일 왜곡이 덜한 제일 좋은 렌즈가 자이스라고 해서 사는데”

-저는 니콘 꺼 샀는데.

“일본 거에 비해서 한 1.5배 이상 비싸죠”

-아 그래요?

“자이스(Zeiss)에서 광학계는 다 만들어주고 있고요. 소스는 사이머(Cymer)라는 회사인데”

-거기서 인수하지 않았습니까?

“그때 인텔 삼성 지분 투자 받을 그쯤에 사이머를 인수를 했죠. 그래서 장비 가격 구성을 보면 제가 이것도 아무도 몰라요. ASML의 비즈니스는 다 비밀이에요. 정조직이고 누가 장비를 뜯어볼 수도 없어요. 나사 하나를 풀어도 A/S를 안 해주니까. 지인을 통해서 알아본 결과는 광학계를 만든 자이스가 전체 세일즈의 가격의 한 30~40%를 가져가고”

-장비 대당 가격에?

“소스가 한 30% 정도 가져가고 이렇다는 거죠. 그러면 반대로 “ASML이라는 회사는 깡통이네”라고 생각할 수 있지만 그렇지는 않습니다. 지금 이런 큰 일을 벌이자라고 아이디어를 내고 설계를 하고 하는 회사들은 사실은 ASML이라 ASML 직원들의 프라이드는 엄청나게 높다고 보죠”

-기술력도 본인들이의 자부심이 굉장하겠네요.

“그럼요. 지금 CEO인 피터 베닝크는 한 대표님도 아시지만 재작년인가요. 한국에 왔다가 한국에서 처음으로 대학 방문 한 번 했었죠. 한양대학교 저희 연구실에 왔었는데. 사실 제가 기다렸던 사람은 그분이 아니고 마틴 반덴 브링크라고 하는 CTO입니다. 그분이 1984년에 ASML을 설립할 때 엔지니어로 입사를 해서”

-일반 엔지니어로 입사해서.

“그렇습니다. 그런데 지금 CTO가 된 거죠. 그래서 이제 ASML의 장점이라고 하면 그런 기술적인 그리고 또 정치적인 리더십 그러니까 여러 회사를 이끌고 가는 그런 리더십 그리고 또 또 한 가지는 인내심이라고 봐요. 진짜 오랫동안 진짜 엄청나게 많은 그런 투자를 해가면서 진짜 한 7년 전~8년 전만 해도 사람들이 EUV가 안 된다고 하던 사람들이 대다수였죠. 그럼에도 불구하고 계속 추진할 수 있었던 그런 자긍심과 인내심 그리고 리더십 이런 것들이 지금 우리를 EUV 시대로 끌고 오지 않았는가 이렇게 생각이 됩니다”

-주당 50불 하는 게 지금 800불이 됐으니까요. 거기서 여러 가지 일을 얘기를 하는 것 같은데. 근데 이제 제가 이제 다시 마지막으로 좀 궁금한 것은 우리가 이제 빛의 파장을 줄이고. 빛 파장 줄이고 난 다음에는 개구수를 높이는 방향으로 왔지 않습니까? EUV는 언제까지 존속해서 계속 쓸까요?

“EUV가 반도체 공정에 쓰는 노광 파장에 거의 끝단이라고 봐도 큰 무리가 없지 않을까 왜냐하면 다른 보완할 수 있는 기술이 없다면 계속 줄여야되겠죠. 얼마 전에 최리노 교수님이 DAA 얘기하고 그러면서 3차원 구조의 반도체 그리고 모놀리틱3D처럼 삼차원 적층구조, 삼차원 패키징 이런 것들의 보완 기술이 나와서 반도체에 집적도를 높이는 걸 무조건 패턴 사이즈를 줄이는 것 이외에 다른 것들이 보완이 됐기 때문에 패턴 사이즈를 줄이는 것에 대해서는 부담이 덜해진 거죠. 그래서 EUV 후에는 뭐냐라고 하면 지금 거론이 되고 있는 건 EUV에 반파장에 쓰는 6.7나노미터”

-그것도 EUV라고 합니까?

“처음에는 Beyond EUV(BEUV). EUV를 넘어서는 기술이라고 하다가 최근에는 사실은 EUV가 장파장 X-ray라고 보셔도 되거든요. 블루 엑스. 가시광선으로 보자면 파랑색이 빨간색보다 파장이 짧잖아요. X-ray치고는 파장이 짧은 영역에 있는 블루엑스라고도 부르기도 합니다. 거기에 대해서도 사실은 많인 연구가 이뤄지고 있어요. 6.7나노미터에 파장을 내는 광원의 기술. 그리고 6.7나노미터에 단파장을 반사하는 반사경 이런 것들이 연구차원에서 이미 이뤄지고 있습니다”

-6.7나노대 빛의 파장이면 흡수는 더 잘됩니까?

“워낙에 EUV가 흡수가 많이 되니까 그것도 흡수가 잘 되고 있고요. 더 다루기는 까다롭죠. 파장이 더 짧기 때문에 거기에 마스크에 디팩으로 프린트되는 그런 기준도 훨씬 더 타이트해지고요”

-교수님이 EUV를 몇 년도부터 연구하셨습니까?

“저는 98년도인 것 같습니다.”

-2000년대 전에 어쨌든 연구를 그때부터 하셨던 거죠?

“그렇습니다”

-EUV가 만약에 지금처럼 상용화가 안됐다면 교수님이 그때 EUV 연구 주제로.

“이미 저는 한번 망해봤기 때문에. 그전에는 저는 X-ray 리소그래피를 했었어요. 그게 이제 예전에는 193나노미터 이후에는 157나노미터 그리고 X-ray 그리고 e-beam, ion-beam. 춘추전국시대였어요”

-다 건너뛰고 EUV로.

“그랬는데 걔네들이 다 문제가 있어서 안되게 되고 그 여러 가지 후보기술 중에서는 그나마 X-ray 리소그래피가 사실은 득세였죠. 그래서 저희가 한국에 처음 들어올 때는 X-ray 노광 장비까지 LG반도체에서 사줘서 포항연구소에 놓고 연구를 했어요”

-그게 몇 년도입니까?

“1995년도에. 그 이전에 일본 NEC에서 지금은 많이 찌그러져있지만 우리 전자산업계에서는 한획을 그었던 인텔 이상갔던 이상으로 갔던 회사인데 거기서도 X-ray를 하다가. 하다보니까 점점 찌그러져가더라고요. 기술적으로 너무 어려워서. 그래서 이제 제 인생을 선택할 때가 98년도 정도가 됐죠. EUV(13.5nm)로 가느냐 F2 laser(157nm)로 가느냐. 그런데 제가 광학을 했던 사람이 아니라 F2 laser(157nm)로 가봤자 저는 메리트를 가질 수 있는 커리어도 아니고 그래서 무모한 도전을 EUV에 점지를 했죠. 그리고 사실은 5~6년 전까지만 해도 EUV가 되리라고는 저도 연구는 하지만 확신은 없었습니다. 확실한 증거가 있어요. 왜냐하면 그때 EUV를 했던 회사의 주식을 사지 않았습니다. ASML의 주식을 제가 처음 연구를 시작할 때 샀었으면 제가 기억에는 한 20불 정도되기 전에 제가 연구를 시작했거든요. 지금 800불이니까 40배가 됐을 거예요. 그랬으면 제가 여기 앉아있지 않았을 가능성도 있는데 덕분에 결국 확신이 없었기 때문에 오랫동안 연구를 할 수가 있었고 그 덕분에 좋은 꼴을 보게 된 그런 상황이죠”

-교수님 다음 번에는 마스크하고 주변 여러 가지 부대 인프라, 예를 들어서 디펙이 생겼을 때 검사할 수 있는 것들 그리고 마스크 재생이라든지 펠리클 재생 기술 같은 것도 연구가 많이 되고 있지 않습니까?

“펠리클 세 분 정도 하시는 분이 저희 EUV-IUCC에 교수님이 한분 하고 계십니다. 그러니까 많이 인더스트리가 성숙이 되어가면 우리가 신경 못 썼던 것들까지 저희가 꼭 봐야되는 것들을 비로소 찾아내는 거죠. 지금 여태까지는 바빠서 그냥 대충 차려서 여행을 떠난 거예요. 여행을 떠나고 보니까 소금도 안가져왔고 후추도 안가져왔어요. 소금과 후추도 우리가 사야 되죠. 지금 상황은 일단 위대한여정은 시작은 됐다. 일단 여행은 시작이 됐어요. 이제 더 이상 멈출 수 없어요. 집 팔고 세 놓고 세계 여행을 떠났습니다. 모자라는 건 일단 가면서 저희가 얻어내야 되는 거죠”

-적절한 곳에 슈퍼마켓이나 편의점이 있어야 될텐데 말이죠. 다음 번에는 한번 마스크나 이런 주변에 대해서 얘기해주시는 시간을 한번 내주시면 좋겠고요. 마지막으로 질문을 드리면 이걸 되게 궁금해하실 것 같아서. 펠리클은 국내 기업들이 상용화 가능성은 어떻게 보십니까?

“제가 넘버로 말씀드릴 수는 없고요. 저는 국내 펠리클의 성공 여부는 엔드유저인 소자 제작사가 얼마만큼 도와주느냐. 왜냐하면 펠리클에 파이널 퀄리티 컨펌은 EUV 노광 장비에 넣어봐야 되는 거거든요”

-그렇죠.

“1800억원짜리에. 근데 그게 깨지면 이게 날린 거죠. 제가 저번에 모회사의 요청으로 ASML에 알아봤는데. “아무런 전략적인 파트너쉽 없이 EUV 펠리클을 ASML이 소유한 장비에 넣어서 테스트를 받으려면 얼마를 주면 해주겠니?”라고 그랬더니 100억원을 부르더라고요”

-100억원이요?

“테스트 한번 해주는데. 근데 그 100억원이라는 비용에는 뭐가 있냐면 그 사용하는 기간에 장비를 사용하는 툴타임 이런 것도 있지만 만약에 깨졌을 때 걔를 세우고 3일 동안 청소를 해내는 것까지 다 포함이 된 거죠”

-PM에 대한 비용.

“그러면 예를 들어 TSMC, 인텔 이런 회사들이 하면서 장비에서 펠리클을 안 깨졌냐. 깨졌습니다. 그런데 그런 경험이 쌓이면서 인프로브가 되는 거거든요. 그래서 국내 기업이 펠리클을 개발해서 마지막으로 테스트를 받기 위해서는 장비에 넣어 놓고 테스트를 받아야 되는데”

-IMEC에서 한번, 거기도 비용이 비슷하게 많이 들어갑니까?

“그렇죠. 거기도 결국은 ASML에 컨트롤을 받을 수밖에 없기 때문에 사실은 IMEC에서도 비용은 상당한 비용을 주지 않으면 테스트 받기가 쉽지 않죠”

-대전에 나노팹에 노광장비가 1대 있지 않습니까. 그건 ArF죠?

“ArF 이머전을 최근에 샀죠”

-거기는 굳이 쓸 수가 없겠네요. 광원 자체가 다르니까. 테스트를 하는데도 그렇게 돈이 많이 들어가는 군요.

“이게 EUV 분야가 그렇습니다. 만드는 건 상대적으로, 내가 열심히 하면 되니까. 만들 수 있는데. 인정받는 게 어려운 거예요. 인정받는 다는 것은 테스트를 해봐야 되는 거고”

-실제로 넣어봐야 되는 것 아닙니까?

“그렇습니다. 넣어볼 수 있는 이런 설비들이 워낙에 비싸다보니까 개인 기업들이 소유를 할 수도 없고 그리고 뭘 빌려 쓰려고 해도 눈치가 많이 보여서 툴의 오너가 허용해주지 않는한 최종적인 제품의 성능 확인이 어렵지 않을까”

-그럴려면 일단 국내 대기업들이 어느정도 관심을 갖고 많이 테스트를 해보느냐에 대한 게 관건이 될 수 있겠네요.

“그래도 좋은 사인은 S사. 둘 다 S사인데 원래 S사가 관련된 기업들에게 지분 투자를 했잖아요. 잘 키워보겠다라는 그런 의지가 보인다고 저는 그렇게 해석하고 싶고요. 아베 전 총리가 했던 도발”

-일본 수출규제.

“그런 경험을 우리가 해봤기 때문에 국내 소재기업들의 육성이 중요하다는 걸 우리가 인식하고 있으니까 그런 협조는 예전에 비해서는 훨씬 더 적극적으로 이루어질 수 있지 않을까라고 생각이 듭니다”

-교수님 오늘 말씀 너무 고맙습니다. 저희가 몇 편을 나눠서 올려야 될 것 같은데.

“잘 편집해서 부탁드리겠습니다”

-고맙습니다. 교수님.

“감사합니다”



댓글삭제
삭제한 댓글은 다시 복구할 수 없습니다.
그래도 삭제하시겠습니까?
댓글 1
댓글쓰기
계정을 선택하시면 로그인·계정인증을 통해
댓글을 남기실 수 있습니다.
카이스트 대학원생 2021-09-01 01:14:11
좋은 기사 잘 봤습니다. 교수님 설명 잘 해주셔서 감사합니다.

  • 서울특별시 강남구 논현로 515 (아승빌딩) 4F
  • 대표전화 : 02-2658-4707
  • 팩스 : 02-2659-4707
  • 청소년보호책임자 : 이수환
  • 법인명 : 주식회사 디일렉
  • 대표자 : 한주엽
  • 제호 : 디일렉
  • 등록번호 : 서울, 아05435
  • 사업자등록번호 : 327-86-01136
  • 등록일 : 2018-10-15
  • 발행일 : 2018-10-15
  • 발행인 : 한주엽
  • 편집인 : 이도윤
  • 전자부품 전문 미디어 디일렉 모든 콘텐츠(영상,기사, 사진)는 저작권법의 보호를 받은바, 무단 전재와 복사, 배포 등을 금합니다.
  • Copyright © 2024 전자부품 전문 미디어 디일렉. All rights reserved. mail to thelec@thelec.kr
ND소프트